design the VHDL based temperature controller

Cancelado Postado há 7 anos Pago na entrega
Cancelado Pago na entrega

- To design the VHDL based temperature controller that comprises of SPI

controller, Data converter, Memory block and Display drivers.

- To develop the test bench to test the design for both behavioral and timing

model using Modelsim.

- Implement the design in FPGA and accomplish the hardware testing. (optional)

FPGA Verilog / VHDL

ID do Projeto: #13097924

Sobre o projeto

Projeto remoto Ativo em há 7 anos