Verilog project fpga trabalhos

Filtro

Minhas pesquisas recentes
Filtrar por:
Orçamento
para
para
para
Tipo
Habilidades
Idiomas
    Estado do Trabalho
    2,000 verilog project fpga trabalhos encontrados, preços em EUR

    Boa tarde, Lívia! Gostaria de ter a sua consultoria para o meu projeto, que consiste em integrar um processador (construído em verilog) a um Gerenciador de processos. No entanto, o prazo é apertado: dia 22/02 terça-feira. Não tenho problema com pagar a mais.

    €47 / hr (Avg Bid)
    €47 / hr Média
    1 ofertas

    Boa tarde, Canisio! Gostaria de ter a sua consultoria para o meu projeto, que consiste em integrar um processador (construído em verilog) a um Gerenciador de processos. No entanto, o prazo é apertado: dia 22/02 terça-feira. Não tenho problema com pagar a mais.

    €19 / hr (Avg Bid)
    €19 / hr Média
    1 ofertas

    Boa tarde, Nilson! Gostaria de ter a sua consultoria para o meu projeto, que consiste em integrar um processador (construído em verilog) a um Gerenciador de processos. No entanto, o prazo é apertado: dia 22/02 terça-feira. Não tenho problema com pagar a mais.

    €33 / hr (Avg Bid)
    €33 / hr Média
    1 ofertas

    Boa tarde, Iaçanã! Gostaria de ter a sua consultoria para o meu projeto, que consiste em integrar um processador (construído em verilog) a um Gerenciador de processos. No entanto, o prazo é apertado: dia 22/02 terça-feira. Não tenho problema com pagar a mais.

    €7 / hr (Avg Bid)
    €7 / hr Média
    1 ofertas
    €2841 Média
    3 ofertas
    Elevador duplo Encerrado left

    I need a Verilog code simulating two 7-storey elevators, where the elevator that will arrive will be the closest to the floor it was called. I can give more information about the project privately. Preciso que seja feito um código Verilog simulando dois elevadores de 7 andares, onde o elevador que chegará será o mais próximo do andar que o mesmo foi chamado. Posso dar mais informações do projeto de forma privada.

    €43 (Avg Bid)
    €43 Média
    1 ofertas

    Olá Nilson E., eu vi seu perfil e gostaria de lhe oferecer meu projeto, preciso que seja feito um código Verilog simulando dois elevadores de 7 andares, onde o elevador que chegará será o mais próximo do andar que o mesmo foi chamado. Posso dar mais informações do projeto de forma privada.

    €33 (Avg Bid)
    €33 Média
    1 ofertas

    Criar um processador em verilog, contendo as especificações citadas no pdf.

    €183 (Avg Bid)
    €183 Média
    6 ofertas

    O freelancer deverá conhecer as linguagens = C / vhdl / verilog e já ter trabalhado com FPGA / ASIC Portar e otimizar um código que já tenho pronto em "c" para vhdl ou verilog esse código gera uma string de 14 / 15 dígitos, será usado uma placa fpga xillinx spartan 6 ( a empresa xillinx disponibiliza todo o ambiente necessário ). converter a string em Sha256 usando placa asic depois de convertido em sha256 compara com um sha256 informado no inicio do processo, se igual finaliza, se não reinicia o processo. Deverá ser usado a Raspberry Pi 3 para termos uma interface ( teclado e monitor ) para inserir o código inicial

    €211 (Avg Bid)
    €211 Média
    2 ofertas

    Implementar um jogo em verilog ou vhdl em vga

    €81 (Avg Bid)
    €81 Média
    6 ofertas

    Jogo VGA em Verilog para FPGA

    €90 (Avg Bid)
    €90 Média
    3 ofertas
    VHDL/verilog Encerrado left

    Segue trabalho em anexo

    €86 (Avg Bid)
    €86 Média
    10 ofertas
    Verilog e FPGA Encerrado left

    Implementar um JOGO mais simples possível com apenas os leds na linguagem verilog ou vhdl... Pode ser o jogo de decorar as cores dos leds que piscam, ou campo minado com leds, qualquer jogo simples (sem gráficos VGA.. projeto super simples na linguagem verilog).

    €19 (Avg Bid)
    €19 Média
    2 ofertas

    Preciso de um projeto em Verilog que gerencie o consumo de água de uma residencia.

    €295 (Avg Bid)
    €295 Média
    1 ofertas

    Olá Pedro, Você trabalho com FPGA (Zedboard) Xilinx? Preciso de um programa capaz de exibir a camera do Kinect em uma placa Zedboard.

    €94 (Avg Bid)
    €94 Média
    1 ofertas
    Veriog Tomasulo Encerrado left

    Neste projeto você irá utilizar Verilog para implementar o algoritmo Tomasulo de despacho simples como descrito no livro texto (Seções 3.4 e 3.5). Descrição No algoritmo Tomasulo a execução das instruções é dividida em 3 estágios: despacho, execução e write back. Esses três estágios acessam componentes críticos de hardware: o CDB, as estações de reserva (nas quais ocorrem as renomeações) e as unidades funcionais. Você deverá implementar: (1) as estações de reserva, (2) os estágios do algoritmo, (3) as unidades funcionais de multiplicação/divisão e soma/subtração, (4...

    €416 (Avg Bid)
    €416 Média
    3 ofertas

    Preciso fazer um hardware com FPGA Altera ou Spartan da Xilinix programado em VHDL. Ele precisa receber e enviar pacotes UDP de um computador através da porta Ethernet. Eu já tenho o software que faz o envio dos pacotes UDP, hoje eu uso um hardware com FPGA Spartan III, pronto comprado da China. O hardware e o software que roda no computador são usados para controlar LEDs que utilizem drives LPD6803, WS2801, etc. O software envia os dados (frames) através de pacote UDP para o hardware (FPGA) que recebe, armazena em buffer de memoria RAM do FPGA e então envia estes dados para os LEDs através de uma porta SPI que deve ser implementada dentro do FPGA. Monitorando e capturando os pacotes UDP que o computador...

    €1934 (Avg Bid)
    €1934 Média
    2 ofertas

    I'm seeking an FPGA expert to help with the hardware acceleration of my trading operations. Key Requirements: - The main goal of this project is to accelerate trading operations using FPGA technology. - The specific trading applications that need acceleration include high-frequency trading and algorithmic trading. Ideal Skills: - Strong experience in FPGA design and implementation. - Deep understanding of hardware acceleration techniques. - Background in trading or finance (highly preferred but not mandatory). - Familiarity with high-frequency and algorithmic trading algorithms. This project offers a great opportunity for a skilled FPGA engineer to work on a challenging and rewarding subject area.

    €37 / hr (Avg Bid)
    €37 / hr Média
    11 ofertas

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expe...

    €155 (Avg Bid)
    €155 Média
    7 ofertas

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    €203 (Avg Bid)
    €203 Média
    10 ofertas

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the video feed(to be honest any...The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multi...

    €56 (Avg Bid)
    €56 Média
    2 ofertas

    HDL Coder compatible simulink model design will be made with the subject titled MODEL BASED DESIGN OF GAUSS FILTER APPLICATIONS FOR FPGA APPLICATIONS. Gauss filter can be applied on the image. The design will not contain any code and will be designed only with functions.

    €59 (Avg Bid)
    €59 Média
    8 ofertas

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    €147 (Avg Bid)
    €147 Média
    13 ofertas

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both...

    €82 (Avg Bid)
    Urgente
    €82 Média
    8 ofertas

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    €37 (Avg Bid)
    €37 Média
    6 ofertas

    My project requires the efficient application of Gaussian filtering in Verilog specifically for enhancing image details. The image type for this task is RGB, and the intended result should lead to clear, detailed images showcasing the potential of Gaussian filters. Key requirements include: - Applying Gaussian filtering to provide image enhancement - Working specifically with RGB images - Delivery of processed images in JPEG format Given the technical nature of this project, proficiency in Verilog and image processing is crucial. A deep understanding of Gaussian filtering algorithms is also necessary. Experience with image manipulation software would be a bonus. This project is ideal for freelancers who are detail-oriented and are adept at transforming...

    €80 (Avg Bid)
    €80 Média
    4 ofertas

    As someone who is keen on enhancing the efficiency of our digital design processes, I am looking for a talented Digital Design Automation Engineer, proficient in C++. Key Project Aspects: Developing SAT solver and DPLL algorithm. 1. C++ programming: The engineer will be required to focus mainly on enhancing and implementing algorithms. 2. Digital circuit design: Basic understanding and experience in digital circuit design is essential. Ideal Profile: - Strong C++ programming skills - Experience in implementing algorithms using C++- Digital Design Experience While the experience in FPGA programming and knowledge on HDL are beneficial, the prime focus will be on C++ software development and digital design. Hence, I'm primarily seeking a candidate who excels in the fie...

    €14 / hr (Avg Bid)
    €14 / hr Média
    16 ofertas

    Our project requires an undefined NTT implementation. The exact scope and purpose of this project have not been defined yet, which opens up a wide range of possibilities and different areas of expertise we might need from potential freelancers. It's an exciting opportunity for innovative contributors with skills and experience in: • Network optimization • Improved connectivity • Cost reduction • Increased bandwidth • Enhanced security • Improved scalability As the specifics of the implementation are yet to be discussed, we are particularly interested in freelancers who show a strong, broad understanding of NTT implementations and are capable of adapting and contributing valuable consultancy to the project no matter which direction i...

    €83 (Avg Bid)
    €83 Média
    13 ofertas

    ...create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must emulate a real PCIe device and handle TLP requests. Make sure to use the PCILeech bitstream made for the Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality o...

    €509 (Avg Bid)
    €509 Média
    29 ofertas

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    €13 (Avg Bid)
    €13 Média
    5 ofertas
    Verilog-Moore FSM Encerrado left

    I'm seeking highly skilled Verilog programmers with a solid understanding of Moore state machines and Structural models. In this project, you will design a moore coffee machine with verilog on vivado Key responsibilities: - Create a Verilog project according to the tasks mentioned in the document. Everyt task must work correctly. Skills and experience required: - Proficient in Verilog programming. - Previous experience with Moore FSMs. - Knowledge in working with input/output functions in Verilog. - Deep understanding and practice with structural models. Experience in creating similar designs will be a significant advantage. This is an excellent opportunity for a programmer expert in creating practical Verilog designs with...

    €20 (Avg Bid)
    €20 Média
    6 ofertas

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on this project.

    €123 (Avg Bid)
    €123 Média
    8 ofertas

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    €86 (Avg Bid)
    €86 Média
    22 ofertas

    I am looking for an expert in FPGA to develop an advanced video mixer capable of integrating multiple sources of video through an HDMI input. This device will be largely used for performing real-time video mixing with a primary focus on merging several HDMI inputs. The ideal output interface that the mixer should extensively support is the HDMI output. It's essential that you have: - Proven experience in FPGA programming and design. - Knowledge of HDMI protocols and signal processing. - Understanding of video data handling for a range of HDMI inputs. - Experience in delivering HDMI output for video mixers. This project will require an understanding of HDMI input and HDMI output configurations to ensure seamless video mixing. It's critical for this pr...

    €170 (Avg Bid)
    €170 Média
    9 ofertas

    ...an individual skilled in Verilog who can help me achieve a specific task. - Task: Your main responsibility would be writing Verilog code for a simple module implementation. This does not involve complex system level code designs or CPU architecture. - Objective: The primary objective of the module is to model a specific digital logic circuit. The project does not require interaction with other modules or utilization of specific hardware components. The ideal candidate would possess: - Solid experience in Verilog coding, - Expertise in digital circuits, - Strong understanding of digital logic circuits, - A meticulous approach to ensure accuracy in modeling the required digital logic circuit. If you are passionate about Verilog and love challenges,...

    €101 (Avg Bid)
    €101 Média
    13 ofertas

    ...achieving a specific task. I already have a code for core RISC-V in system Verilog and need help running it in my Linux based virtual machine. The final objective includes enabling a basic load counter and comprehending the code thoroughly. need to create a presentation for my Verilog project. For the first task, I need to count the number of instructions entering the pipeline after a mispredicted branch, which is important for security. I need to run a test code to measure performance and count the flushed instructions. Can you help with this in Verilog? We can work together on it and complete the presentation.? To achieve the listed tasks, the freelancer should possess: - Expert knowledge and experience in working with Verilog, - Proficiency in ...

    €10 / hr (Avg Bid)
    €10 / hr Média
    6 ofertas

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €99 (Avg Bid)
    €99 Média
    5 ofertas

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €105 (Avg Bid)
    €105 Média
    5 ofertas

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €118 (Avg Bid)
    €118 Média
    4 ofertas

    I am looking for a skilled professional who will help to develop a facial detection system using the Viola Jones algorithm optimized on a FPGA. This project will include implementation of hardware acceleration to enhance real-time facial detection. The Skills and Experience Required: - Strong proficiency in C++ programming - Extensive experience with FPGA, specifically with the Digilent Nexys A7 model - In-depth knowledge of the Viola Jones algorithm - Prior experience in real-time facial detection projects

    €522 (Avg Bid)
    €522 Média
    22 ofertas

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Ex...

    €49 / hr (Avg Bid)
    €49 / hr Média
    7 ofertas
    Review VHDL Code Encerrado left

    We've written some VHDL code for FPGA we need someone to adapt it to our coding standard. we will share a report of all violations for a set of files and the VHDL code shall be modified as specified in the coding standard. To make an example all signals shall be names s_<signal_name>, signal test_sig : std_logic; -- violation! signal s_test_sig : std_logic; -- correct the code will be shared with a Gitlab repo, a dedicatd branch will be created to modify the original code. the code shall be compiled, to chekc no errors were introduced. as soon as the code is delivered we wil rerun the checker to chek for residual errors, and we would provide a feedback (unless the freelancer has the same checker tool)

    €452 (Avg Bid)
    €452 Média
    26 ofertas

    I'm currently in search of an expert in electronics, Specifically FPGA's and Verilog who can assist in creating a 32 channel logic analyzer. The analyzer's primary role will be to facilitate the debugging of digital circuits, analyzing serial communications, and examining micro-controller signals and digital protocols. Key Responsibilities: - Design and create an analyzer able to decipher multiple digital protocols including CAN, SPI, I2C, UART, RS422, RS485, i2C 1-Wire, and SIM devices. - Equip the analyzer with a unique functionality to understand and interpret data in custom HEX, Bianry and ASCII formats. Ideal Experience and Skills: - Proficient in digital protocols and encoding. - Extensive experience in electronics, especially in creating and debugging logic ...

    €546 (Avg Bid)
    €546 Média
    32 ofertas

    Its about vintage videogames I have a project that make emulation of cartridges. Each original Cartridge have circuits with ASIC customs called " mappers ". Theses mappers circuits are not available for sale, because was made in 90 years. Some hackers rebuild functionality of Asics throught verilog, and implemented in a single cartdridge with ALL mappers inside. In Github are The opensource project , and ALL mappers writed in verilog are available separated. My Idea is take one a one mapper and generate a output file and burn in individual hardware , like Xillinks XC9572 or XC95144 because The original project use hard weight hardware,like a

    €488 (Avg Bid)
    €488 Média
    12 ofertas

    I'm seeking an exp...Simulink HDL coder to assist with a project focused on generating and optimizing HDL code from Simulink models. The models primarily consist of mixed-signal systems such as memory array and ADC, so previous experience working with these is crucial. The objective is to target this code to Field Programmable Gate Arrays. Ideal Skills and Experience: • Extensive experience with Simulink HDL coder • Understands how to optimize HDL code • Previous work with mixed-signal systems • Experience with FPGA and ASIC implementation This is an excellent opportunity for an experienced coder who is familiar with Simulink Model and has a solid understanding of HDL code and mixed-signal systems. Your expertise in these areas will greatly contrib...

    €17 - €140
    €17 - €140
    0 ofertas

    I'm actively seeking a dedicated and experienced FPGA Programmer to assist with the tasks of designing the logic and writing the code for my project. Responsibilities: • Logic Design: Responsibility to design the logic that meets the project requirements. You should be able to effectively create a practical and efficient design. • Code Writing: You will write the code for the logic design. Your code writing should be clean, optimized and easy to understand. Project Requirements: • Performance and size constraints are significant factors in this project. Your design and code should focus on maximizing performance while considering the size limitations. • Functionality: The project involves data processing and signal ...

    €20 / hr (Avg Bid)
    €20 / hr Média
    21 ofertas

    Hi, I need someone really experienced in FPGA, DSP, and specifically IFF signlas. I need to create an algorithim to detecet different modes of IFF signals and classify them. then display the data of the signals. I will aslo need someone to finalize the verilog code, help me with testing, debugging of my project, it will be a long time agreement not just a task to be done. I am hoping to collaborate with a diligent engineer who accurately interprets given instructions and is capable of delivering quality work. I am looking forward to your proposals.

    €563 (Avg Bid)
    €563 Média
    14 ofertas

    I need someone proficient in FPGA programming and matrix manipulations to develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing processes for small-sized matrices • Ability to handle medium-sized matrices • Building the necessary verilog code and TB to facilitate and optimize the matrix multiplication on the PYNQ boards Here are the critical skills and experience needed for the job: • Familiarity with PYNQ FPGA programming • Experience working with PYNQ Boards • Deep understanding of matrix operations • Proficient in hardware description language (VerilogSV)

    €37 (Avg Bid)
    €37 Média
    2 ofertas